您当前的位置:业界 >  >> 
如何使用 Vivado IP集成器打包自定义 IP:最新快讯

时间:2023-01-11 11:55:41    来源:电子工程网


(资料图片)


视频简介:观看本视频,了解如何使用 Vivado 中的 “Create and Package IP” 命令创建一个 AXI 外设,并向该外设添加自定义逻辑。该外设将被重新打包并在硬件上得到验证,可以使用在基于 MicroBlaze 的设计。

关键词: 可以使用 添加自定义 如何使用

X 关闭

X 关闭