您当前的位置:业界 >  >> 
Vivado教程:如何在System Generator For DSP中创建Vivado HLS模块

时间:2022-12-10 22:45:57    来源:电子工程网


(相关资料图)


该视频向您演示所有您需要掌握的导出RTL相关的功能,包括器件与注册码支持,System Generator for DSP中IP模块的设计要求,其他可用的导出格式以及如何在Vivado HLS中启动RTL仿真来评估Vivado HLS设计。视频结尾将为您总结Vivado HLS模块是如何应用到您的System Generator for DSP设计中的。

关键词: 设计要求

X 关闭

X 关闭